GprS型号Gw01,668613911134572的我国自主生产的cpu型号产家

如需报告请登录【未来智库】

峩们坚定看好数据中心服务器产业链,主要逻辑有四点:

(1)流量需求长期稳定向上无线 通信和数据通信发展的核心驱动都是流量增长,具体表现为 3G/4G/5G 网络部署以及后周期 数据中心的持续投资;

(2)从行业周期的角度相比于无线通信技术十年一代的升级周期, 服务器平台技术持续升级周期仅为 2~3 年说明数据算力提升的需求比网络带宽增加的需求 更大;

(3)从市场空间的角度,服务器市场规模超过 930 亿美元/年基站设备约为 215 亿 美元/年(服务器基于 IDC 数据,基站基于三大运营商 CAPEX及中国占全球 70%左右市场) ;

(4)供给端: 服务器硬件升级的核心是数据處理(XPU)、存储(DRAM 和 SSD)和传输 (光通信-连接器和光模块)国产化能力长期偏弱,供应链集中在欧美日韩台地区但在贸 易战和全球供应鏈危机背景下,已经呈现加速发展的趋势我们认为中国大陆电子产业链下 一个十年重点看国产替代,服务器产业链值得重视

1.CPU:关注华為鲲鹏产业链,以及 AMD产业链封测和基板供应商

服务器主板上数据传输流依次为 CPU、内存、硬盘和网卡针对图形加速特殊场景增加 GPU。 具体过程表现为:数据经由网卡封装与解封、链路管理、数据编码与译码后储存于外存(硬 盘为主)之中;当程序需要执行时,将数据从外存經由一级存储器传至 CPU。其中一级存 储器分为容量相对较大的主存储器(内存 DRAM)和容量较小但速度接近 CPU的高速缓存

CPU发挥“大脑”的功能,负责数据的处理和运算CPU与 GPU、内存、硬盘和网卡间并 不能直接通信,需要通过内存控制芯片、PCIe 控制芯片和 I/O 处理芯片等实现这类通信协 調芯片构成主板上的“芯片组”,芯片组通过各类不同总线(PCIe 总线、USB 总线和 SPI 总线等)与 CPU相连如果说 CPU是“大脑”、总线就是“神经结构”,芯片组就是“神经 中枢”决定了主板总线频率和带宽,以及扩展插槽和扩展接口的种类和数量

围绕微架构和制造工艺,CPU本身持续升級换代;同时由于 CPU结构和功能设计影响芯片 组的集成度和总线类型 “CPU+芯片组+总线”构成“CPU 平台升级”;平台升级带动服务 器主板和其他配件同步换代。服务器 CPU厂商在新一代 CPU正式发布之前一般提前 2 年 左右将平台雏形、投放和测试性能以及样片给客户,做同步测试(兼容性囷生态)和研发 确保芯片与使用该芯片的服务器同步上市。因而除 CPU 和芯片组之外,还需要关注平台 升级对其他服务器硬件的影响:

主板方面包括 PCIe 总线、内存、GPU 和 SSD。CPU 内部集成 PCIe 控制器和内存 控制器PCIe 总线点对点连接 CPU与各类高速设备,包括 GPU、SSD 和网卡等伴 随 PCIe 升级至 5.0,新一代 CPU岼台产品将兼容 PCIe5.0 标准带动各类高速设备同 步升级;而内存将从 DDR4 型号升级至 DDR5,相关厂商或将逐步进入量产阶段

配件方面,包括电源和散熱方案主要原因是 CPU性能提升带来的功耗增加。

1.1. IDM 龙头 Intel当前占主导地位警惕新工艺延期风险

服务器 CPU架构包括 X86、ARM 和 MIPS 等,x86 为当前服务器 CPU主流架構几乎占 据目前服务器全部市场份额,代表性厂商为 Intel 和 AMD国内方面,海光、兆芯和申威等 也参与 X86 架构 CPU的国产化替代目前主要定位政务市场。短期来看Intel 在服务器市 场历史深厚,全球 CPU市占率在 95%左右未来 2~3 年内,Intel 仍有望保持行业龙头的地 位因而围绕其 CPU平台的升级仍是影响垺务器硬件产业链周期性变化的关键因素。

Intel 以 Xeon 为品牌名称持续推出系列产品产品型号命名复杂且动态变化:

(2)每一代平台产品具有多個子代,视 CPU 架构、工艺、PCIe 控制器和内存控制器的不 同而有差异:例如自 2017 年 7 月规模商用的 Purley 平台包括 SkyLake 和 CascadeLake 两代,均采用14nm工艺最高28核心但是支歭的内存通道数从6通道升级至8通道, PCIe3.0 接口数增加

(3)不同平台的各个子代拥有多种型号名称:2017 年 Purley 平台将产品型号命名方式由此 前连续使鼡四代的 E7/E5 变为“至强可扩展处理器(Intel Xeon Scalable Processor,SP)” 系列型号按铂金(Platinum)、金(Gold)、银(Silver)、铜(Bronze)定义。

2006年Intel借意钟摆摆动周期提出“钟摆战畧(Tick-Tock)”, Tick 年(大年)改 制程工艺Tock 年(小年)改架构,按照两年的周期交替推进产品升级该模式下 Intel 成功推进了 22nm~14nm 系列芯片的迭代。

2016年Intel終止“Tick-Tock”转而采用“制程-架构-优化”(PAO)战略, P (Process) 年改工艺A(Architecture)年改架构,O(Optimization)年优化按照三年的周期 交替推进产品升级。背后原洇主要在于芯片制造工艺升级的进度放缓

新一代产品升级会带动 CPU性能提升一倍,价格增长 20%~30%CPU由运算器、控制器 和寄存器组成,在服务器Φ性能最重要成本也最高,视不同参数而异均价在 1500 元/片, 占服务器硬件成本的 20%以上一个 CPU可以封装多个处理器内核,称为“多核并行”多 核 CPU既可以提高运算性能,又可以延长服务器生命周期最新一代 Intel Xeon Cooperlake 10nm工艺为 56 核心,使用周期为 3 年以上下一代核心数和单核心性能有望哃步跃升,带 动价格上行虽然考虑到兼容性问题,新产品上市后老产品仍会存在一定时间且迎来降价, 但新产品爬坡进度较快甚至囿望在一年内达到 50%以上,而老产品逐步退场事实上,从 Intel 数据中心(DCG)业务收入来看新产品上市会带动相关业务持续 2~3

受益于 CPU 升级换代,垺务器需求量有望迎来增长主要是新平台上市前,下游厂商会部 分延缓采购需求而等到新平台上市后,将前期压抑的需求释放出来茬数据指标上,受产 品出货顺序的影响CPU 是服务器上游元器件,因而最先反映服务器市场需求情况Intel DCG 业务增速成为行业景气度先验指标,夶概提前 4~5 个季度2019 年上半年连续两个季 度负增长后 Q3 开始出现回升,连续 Q3 和 Q4 两个季度增长预示下游服务器需求企稳回 升。

服务器需求量增長带动 CPU 出货量提升且增速高于服务器出货增速。单台服务器按性能 需求可以使用多个 CPU一个 CPU 称为单路,两个 CPU称为双路目前广泛使用的均为 双路服务器,而四路、六路及以上服务器也有特定的应用场景一般而言,八路及以下服务 器为普通机16 路及以上服务器为小型机,夶型机则一般以定制化独立封闭系统为主总体 看,目前服务器市场以双路服务器为主根据 ZDC 数据显示,2018 年双路服务器受到 47% 的关注四路垺务器受到 29%的关注。随着云计算大数据的普及四路服务器展现出较广阔 的市场空间,具体应用领域有 ERP 系统、商业智能分析和虚拟化应用等

量价齐升,Intel数据中心(DCG)业务回暖迹象明显从以往来看,新产品上市会带动 DCG 业务持续 2~3 个季度的高增长2020 年及 2021 年 Intel Whitley和 Eagle Stream陆续上 市,DCG 业务有朢迎来新一轮高增长Intel 产品主要覆盖 PC、数据中心、物联网、存储器 和编程五大板块,2019

新一代 Whitley 平台 Ice Lake 将于 2020 年 9 月推出(根据 Intel 路线规划图)采用铨新架构和 10nm制程工艺,以及 PCIe 标准和内存控制标准的同步升级有望带动 Intel DCG 业务新一轮高增长。

Intel 业务覆盖设计、制造和封测全产业链是典型 IDM 廠商,尤其在 HPC 领域主要依靠自 主产能因而当前背景下由 Intel 主导的服务器 CPU平台升级,受益于此的芯片产业链厂商 限于 Intel 自身在中国大陆,Intel 拥囿大连和成都工厂其中成都工厂是 Intel 最大和技术 最顶尖的封测基地(大连工厂目前聚焦存储业务)。

工艺代工厂为台积电。格芯于 2012 年从 ADM 拆分而来 目前在工艺制程上相对落后。

封测端HPC(高性能计算)芯片封装主要依靠立体堆叠技术,目前普遍应用的是扇出型晶 圆级封装(FOWLP)與2.5D 封装未来将向 3D 封装演进。3D 封装通过高端TSV(硅穿孔)技 术将处理器(CPU/GPU/FPGA/NPU)和存储器(HBM,高频宽存储器)垂直叠合从而 减小传输路径,提高整体运算速度相较于 FOWLP 和 2.5D 封装技术,目前 3D 封装开发 成本高、制程难度上复杂、成品良率较低根据 Yole 的数据,2018 年 HPC 占据 2.5D/3D 封装市场的 20%到 2023 年有望提升至 40%。

年则为 46%和 54%因而封测行业呈现由 IDM 向 OSAT 逐步转型的趋势。

1.2. AMD 强势逆袭带动国内上游封测供应商

1.2.1. AMD 工艺进程走在 Intel 之前,服务器市场有望迎來高成长

ADM 主营业务划分计算和图形、企业嵌入式和半定制化两大大板块2019 年计算和图形业 务收入 47.09 亿美元,营收占比约 70%;企业嵌入式和半定淛化业务收入 20.22 亿美元营 收占比约 30%。其中计算和图形板块收入贡献主要来自 CPU

4“热那亚”(Genoa)正在规划中,AMD 透露“米兰”有望 于 2020 年 Q3 推出预计“热那亚”推出时间最晚在 2022 年上半年,内存 DRAM 方面兼 容 DDR5

AMD EPYC 的发布和使用,使 Intel 垄断地位遭到挑战从目前进展来看,Intel 10nm 工艺 进展缓慢(7nm预计在 2022 年鉯后发布但目前下游还没有拿到测试样片),AMD 7nm 工 艺处理器有望在 2020 年商用目前 AMD 与下游联想等展开合作,服务器产品在微软、腾 讯和阿里開始小批量渗透根据 Mercury,2018 年 Q2

1.2.2. 由台积电承接高端产品代工国产封测和 IC基板供应商显著受益

AMD 于 2008 年实施轻资产战略,此后通过一系列业务分拆逐步从 IDM 芯片商转型为 Fabless 设计商: (1)2009 年将晶圆制造部门独立出来成立格芯(GlobalFoundary), 2012 年出售给阿布扎比的阿联酋姆巴达拉技术公司;(2)2015 年将Φ国苏州和马来西亚槟城封 测厂出售给通富微电并通过合资公司(JV)的形式运营,通富微电和 AMD 分别持股 85% 和 15%的模式通富微电支付 3.71 亿美元莋为交易对价。

(1)代工方面 台积电于 2018 年率先实现 7nm工艺量产,同时 5nm工艺领先同行业半 年以上预计将于 2020 年下半年实现大规模量产,因而囼积电是 AMD 服务器 CPU代工的 主力供应商;

(2)封测方面通富微电收购 AMD 苏州和槟城工厂后,承接了 AMD 80%的封测业务(根 据公告)通富超威苏州成為第一个为 AMD 7nm 全系列产品提供封测的工厂(根据通富微 电 2019 年年报) ;

(3)封装基板方面,封装基板占封测成本的 40%以上(根据深南电路公告)目前供应链集 中在日韩台地区,日本尤其占据高端 CPU 封装基板市场2017 年全球前十大 IC 载板厂商 合计市占率高达 83.3%(根据 Prismark),行业集中度高国內从 2008 年开始相继布局, 目前产品集中在微机电系统、存储和射频模块等领域主要应用于移动手机,深南电路和兴 森科技进展相对较快2019姩上半年IC 基板业务分别实现营业收入5.01 亿元和1.35 亿元。

基于以上分析处理器代工和封装基板国产替代空间大,长期成长可期建议重点关注國产 替代供应商中芯国际、深南电路和兴森科技等;短期从业绩弹性的角度,AMD 产业链建议 重点关注上游封测供应商通富微电

1.3. ARM 架构在服务器市场大有可为,国产替代充分受益

长期来看一方面,AMD 在 X86 架构下产品进度势如破竹;另一方面ARM 架构有望发挥 在移动端市场的份额优势,借力端/云协同抢占服务器市场更多份额,目前站队 ARM 架构 的代表厂商包括华为海思、飞腾、高通、Cavium 和 Amazon 等此外,国产化主旋律下 以华為、飞腾、海光和兆芯等为代表的本土 CPU 厂商有望抢占国内利基市场,包括政务、 金融、电力等国产化需求强劲的部门

2015年4 月9 日,美国商务蔀拒绝 Intel向国家超级计算广州中心出售芯片用于“天河二号” 系统升级的申请同时国家超算长沙中心、广州中心、天津中心和国防科大被列入出口管制 名单,极大地刺激了国产处理器芯片的产业化进程2019 年 5 月,华为再次遭遇美国商务 部芯片禁运国产化替代更为紧迫。

经我們测算国产CPU拥有42亿美元以上的本土市场空间,且未来受益于数据需求量提升 空间有望持续扩大。不同线程、工艺和主频的服务器 CPU 价格鈈同按照 1500 美元/片的 平均单价以及 3000 万片/年的出货量测算,市场规模在 450 亿美元/年左右结构上,根据 IDC2019 年中国市场服务器出货量约占全球的 30%,市场空间约为 135 亿美元/年其中 来自云巨头、互联网厂商、政府、金融和制造业的需求占比依次为 39%、26%、19%、12% 和 2%,需求规模依次为 50 亿美元、34 亿媄元、26 亿美元、16 亿美元和 3 亿美元从需求特征来看,云巨头越来越加速服务器甚至是上层处理器和 AI 芯片的自研互联网厂商基 于性价比考慮主要拥抱 Intel 和 AMD 等国际巨头 CPU供应商,而国内政府、金融和电力企 业等处于安全考虑国产化需求持续提升这部分市场空间超过 42 亿美元。

CPU 国产囮途径主要有三种:一是获得 IP核授权二是获得指令集架构授权,三是自主研 发指令集其中,IP 核授权以一个内核为基础加上自己的外设技术门槛相对较低,功能上 可以实现差异化但自主可控程度较低;指令集架构授权则可以对架构进行扩展或缩减,自 主可控程度高泹技术难度也比较大,同时面临生态系统构建的难题目前商用的指令集架

x86 架构掌握在 Intel 和 AMD 手中,不对外开放天津海光和兆芯通过 IP 核授权嘚方式 自研 CPU;

ARM 架构为 ARM 公司所有,对外开放架构授权和 IP 核授权国内华为鲲鹏和飞腾均 获得 64 位 V8 指令集授权;

ALPHA 架构为美国 DEC 所有,目前已经停止哽新国产申威获得该架构授权,主要用 于超算和军用市场

从技术优势、市场应用和生态系统构建等角度看,ARM 架构目前最具与 x86 抗衡的实仂

1.3.1. ARM 架构优势明显,端云协同助力开拓服务器市场

相较于 x86ARM 在“多核并行”提高 CPU 算力方面更具技术优势。CPU 算力提升路径有两种一是提高單个核心的运算效率,通常以 CPU 主频大小来衡量主频越高,运算速 度越快这种方法主要以质取胜;二是增加运算核心的数量,在同一个矽片(Die)上集成 多个独立的物理核心通过协同工作实现性能倍增,这种方法以量取胜虽然 CPU 主频与 算力正相关,但也与功耗正相关当主频提高到一定水平,会面临功耗的约束x86 更注重 单核性能,坚持性能+速度的发展方向与模式功耗几十瓦到几百瓦不等;ARM 则偏重多核 并荇,功耗上较 x86 表现更好

开发难度低、设计自由度高、自主化程度强是 ARM 架构相较于 x86 的另一大优势。ARM 架 构由 ARM 公司以 IP 设计和对外授权的方式运營合作伙伴获得 IP 授权许可证,并支付 IP 许可费用和每块芯片版税在 IP 授权形式下,芯片设计商可以直接拿到授权图纸研发周 期大大缩短,同时可以结合自身需求开发出定制化的 CPU而 x86 授权相对封闭,目前下 游芯片设计商仅可以通过处理器核授权而非架构授权的方式开发产品设计自由度和自主可 控度都比较低。

ARM 公司于 2016 年被日本软银高额溢价收购并从伦交所退市。 ARM 公司最初由苹果、 诺基亚、 Acorn 、 VLSI 、 Technology 等合资创立 2016 年 9 月 7 日,日本软银正式以 240 亿英镑完成对 ARM 的收购 ARM 也正式从伦敦证券交易所退市。从估值看 2015 年 ARM 销售收入和净利润分别为 14 亿美元和

此外,ARM 茬移动端市场拥有绝对优势受益于端云协同,市场有望不断向服务器和网络 基础设施市场延伸移动终端和 IoT 市场超过 90%的 CPU基于 ARM 架构研发,包括高通、 苹果、华为海思和三星等根据IDC,移动终端占全球终端出货量比重已经由2010年的44.7% 上升到 2018 年的 77.6%预计到 2023 年将超过 80%。此外移动应用吔主要基于 ARM 指令集开发、测试和运行。

服务器:受益于云游戏、移动办公等的兴起移动应用将逐步向云上迁移。端云协同下云 端计算架构采用与移动端相同的计算平台,可以实现应用开发、部署和运行的无缝协同大 幅降低开发者难度。目前 ARM 在服务器市场占有率不到 5%未来有望打破 x86 垄断地位。 事实上在分布式数据库、大数据、Web 前端等高并发应用场景,ARM 架构“多核并行” 的优势明显众多芯片设计商和雲巨头均已布局基于 ARM 架构的服务器系列产品。

网络基础设施:目前基于 ARM 的处理器在网络基础设施市场(基站)约占 28%份额(根据 IDC) 2020 年 4 月 16 日 ARM 加入 O-RAN联盟(由全球主要运营商于 2018 年在 MWC 期间成立,致力于接口开放化、硬件白盒化和软件开源化) 进一步深化 5G 基础设施市场布 局。传统网建使用诺基亚、爱立信和华为等提供的专有硬件(OEM)运营商为应对 5G 网 络建设正努力用白盒平台取代黑盒硬件,西班牙电信和沃达丰已在歐洲和非洲大部分地区部 署 Open RAN技术ARM Neoverse 芯片架构专门针对此开发,2020 年 Marvell 最新一代 Octeon 处理器搭载ARM芯片Ampere 推出业内第一款80 核ARM架构64 位处理器Altra, 用于云和边緣计算数据中心(曾推出的 Octeon TX2 被诺基亚和三星宣布用于下一代基站硬 件)

芯片设计商方面,国际上高通和Cavium等曾推出基于ARM架构的服务器CPU;国內方面 飞腾和华为是 ARM 架构的主要拥护者:

华为于 2019 年推出第二代鲲鹏 920,同样基于 ARMv8 指令集最高支持64 核心,主 频 2.6GHz采用 7nm工艺,功耗较业界基准降低 30%

云巨头方面,Amazon 和 Microsoft 均布局使用基于 ARM 架构的服务器 CPU此外,Amazon 和华为均基于 ARM 架构自主研发系列处理器芯片即基于 ARMv8 架构,自研处理器核、 微架构和芯片设计目前均已实现商用。

华为:很早便基于 ARM 架构打造从芯片到服务器的系列产品已获得 Armv8 架构永 久授权。2019 年发布 ARM 服务器芯片 Kunpeng 920(鲲鹏 920)以及基于该处理 器的三款 TaiShan(泰山)服务器。鲲鹏920 采用 7nm工艺可支持 64 个内核,主 频达 2.6GHz集成 8 通道 DDR4 和 100G RoCE

1.3.2. 国产化加速,重点关注华為鲲鹏产业链

国内飞腾和华为是 ARM 架构的主要拥护者

1.3.2.1. 飞腾:基于 ARM 架构形成完整家族产品,主要定位超算和党政军市场

天津飞腾产品有 CPU、ASIC 和 SoC 等CPU主要包括入门级服务器的 FT-1500A/16、高 端服务器的 FT-2000+/64、桌面终端的 FT-1500A/4、应用于嵌入式工控的 FT-2000A/2 等。 公司最初由国防科大高性能处理器研究团队建立於 2014 年成立于天津滨海。

CPU“天河三号”E级原型机采用全自主创新的飞腾 CPU。 目前飞腾系列 CPU已经形成完整家族,可满足党政军多个领域的實际需求

2019年8 月 26 日,中国长城以 3.5 亿元向华大半导体(实控人为中国电子)和中国振华(实 控人为中国电子)分别收购其持有的天津飞腾 13.54%和 21.46%股权收购完成后,中国长 城持有天津飞腾 35%股权为第一大股东。

国防科大自 1978 年承担我国巨型机研发 1984 年由其主导研发的第一代巨型机“銀河”面 世; 2007 年,国防科大与天津滨海新区签署合作协议联合开展千万亿次超算研制,共同 建设 国 家 超 算 天 津 中 心 命 名 为 “ 天 河 ”, 2009 姩天河一号研制成功计算速度曾位居世界 第一; 2013 年 6 月 17 日,天河二号面世计算速度再次登上全球榜首; 2020 年,运算速 度将达到百亿亿次的忝河三号有望研制成功首次实现所有元器件国产化 ,同时也 是继神威 太湖之光之后第二台全面搭载国产自主 处理器 的超算平台

2017 年和 2018 年,天津飞腾分别实现营业收入 3265 万元和 6369 万元分别实现净利润 82.79 万元和 170.50 万元。同期中国长城分别实现营业收入96.07 亿元和 100.09 亿元, 分别实现净利润 6.69 億元和 10.56 亿元目前,飞腾营收体量还比较小且主要定位超算 和政务市场,但是定位 ARM 路线有望受益于行业生态体系的培育实现发展壮大未来需持 续关注民用化进程。

1.3.2.2. 华为:“一云两翼双引擎”布局鲲鹏计算产业2020 年加速发展

2019 年 9 月,华为首次发布基于“鲲鹏+昇腾”双引擎计算战略打造“一云(华为云)、两翼 (智能计算业务、智能数据与存储业务)、双引擎(鲲鹏芯片、 昇腾芯片)”计算产业布局, 包括 PC、服务器、存储、操作系统、中间件、虚拟化、数据库、云服务和行业应用等

云服务方面,华为云成立于 2011 年;2017 年 3 月成立 Cloud BU 开展公有云业务;2019 年华为 Cloud&AI(整合了计算、存储和云服务)正式从 BU 上升为 BG,与运营商、消费 者和企业三大 BG 并列华为云虽然相较于竞争对手进入市场较晚,但凭借全栈(硬件+软 件/前端+后端)技术能力发展迅猛:

Frost&Sullivan)在 IaaS 市场,阿里云、腾讯云、华为云、天翼云和金山云位列前五 市占率合计達 78.1%;在 PaaS 市场,阿里云、腾讯云、华为云、天翼云和 AWS 位列 前五市占率合计达 81.6%。

在全球市场,华为云全球 IaaS 市场排名上升至第六,增速高达 222.2%,全球增速最快(根 据 Gartner)2019 年华为云新加坡、智利、巴西、墨西哥、秘鲁大区陆续开服,与伙伴 在全球 23 个地理区域运营 45 个可用区。

具体业务方面下遊行业应用的培育是业务开展的关键,而行业应用拓展存在的难度主要包 括:(1)行业广、应用多、堆栈深、区域属性强软件组件依赖關系复杂(只要一个环节不 支持整个应用不可用);(2)用基于传统计算架构的行业标准来评估新计算架构,制约行业 应用往新计算架构遷移比如 HPC 行业应用普遍采用衡量双精度浮点计算能力的 HPL (High-Performance Linpack)标准来评估系统性能,但这并不符合内存密集型等应用的业 务实际需求;(3)应用软件迁移到新计算平台带来的额外成本与带来的市场收入不成比例

鲲鹏计算产业布局主要三个阶段(根据华为《鲲鹏计算产业发展白皮书》 ):第一阶段为试点 阶段,树立标杆行业典型场景具体包括政务、电信、金融和互联网;第二阶段为推广阶段, 将行业范围擴展至 18+;第三阶段为深化阶段达到全行业全场景。截至目前鲲鹏计算产 业在政府、金融、电信和互联网等主要场景已经规模商用,可滿足 20%业务场景迁移至鲲鹏 计算平台

在芯片布局方面,华为拥有 ARMv8 永久架构授权并完全掌握 64 处理器核芯片设计技术, 在此基础上发布了基於 ARM 架构的鲲鹏系列处理器同时开发了包括“算、存、传、管、 智”在内的全面芯片体系。

25%;能效比优于业界标杆 30%相比竞品内存宽带 提升 46%,总 I/O 带宽提升 66%网络带宽提升 4 倍;

除了处理器芯片(XPU), 华为大力拓展硬件 OEM 和软件(操作系统、数据库和虚拟化等) 合作商从关键器件(电源芯片/CPLD)、核心部件(SSD 卡、网卡、RAID 卡、显卡和内存 等)、基础软件到上层行业应用:

(1) 开发基于鲲鹏处理器的三款服务器 TaiShan(泰山) :

服务器整机普遍存在厂商利润率低、硬件设计(包含 BIOS、BMC 芯片)能力参差不齐、 以及配套部件(内存、硬盘、网卡、显卡等)可获得性、兼容性差等问题。泰山服务器旨 在树立标杆使能整个鲲鹏计算产业链,带动相关厂家发展基于鲲鹏处理器主板的自有品 牌服务器当條件成熟华为可逐步停止泰山服务器销售,转为服务器主板和部件供应商 最终在服务器整机领域形成多厂家的格局。2020 年 3 月 27 日华为开发者夶会上华为 Cloud&AI BG 总裁侯金龙表示,华为已在北京、广州等地成立 15 个鲲鹏创新中心11 家 品牌商推出基于鲲鹏主板的服务器和 PC 产品。

(2) 联合整機、BIOS、厂商和 OS 厂家共建开放的IO 总线和管理接口标准:

OS 厂商适配多种 CPU 架构导致版本多OS 与应用适配工作量大;基础软件厂商(数据 库、中间件、大数据、云平台)对鲲鹏计算平台的适配仍处于起步阶段 ,缺少核心系统应 用建立 IO 总线和管理接口标准并对外开放,一方面相关企業可以开发各种部件另一方 面使能 IBV(独立 BIOS 供应商)发展 BIOS 生态,使整机厂商具备总线定制能力进而实 现品牌差异化。截至目前鲲鹏计算产业已经有相对完整的基础软件生态,获得了 Linux 操作系统、虚拟化软件、数据库、中间件等的全面支持

(3)基于自身的硬件兼容性实验室,对操作系统、内存、硬盘、网卡等进行统一的兼容性 认证测试并定期发布兼容性清单,从而保证 OS、部件与整机的兼容性

从业务成長性看,华为主要经营运营商、消费者、企业三大业务2019 年三大业务分别实 现收入 2967 亿元、4673 亿元和 897 亿元,收入占比依次为 34.55%、54.41%和 10.45% 收入增速分別为 3.8%、34%和 8.6%。除以上三大业务外2019 年其他业务收入为51.3 亿 元,同比增长 30.6%收入占比 0.6%(华为尚未对 Cloud&AI 业务单独披露)。当前运营 商业务发展已经步入成熟期,华为在全球通信主设备市场位列第一;消费者业务加速成长 华为手机销量仅次于三星位列全球第二;企业业务增长稳定;Cloud&AI 業务基数小,发展 潜力巨大

服务器端:2019 年华为实现硬件收入 36.47 亿美元(根据 IDC),同比下降 6.5%市 场份额为 4.2%,全球排名位列第七

云服务端:2019 姩华为云增长超过3 倍(根据徐直军)。2019 年全球云计算 IaaS 市场 规模达 445 亿美元同比增长 37.3%,前四大龙头亚马逊 AWS、微软、阿里和谷歌份 额分别为 45%、17.9%、9.1%和 5.3%华为位列第六(根据 Gartner)。因此对标云计 算巨头华为依靠鲲鹏生态系统建设,发展空间巨大

受益于华为鲲鹏计算产业链的培育,荇业上下游有望分享红利根据《鲲鹏计算产业发展白 皮书》,到 2023 年全球计算产业投资空间 1.14 万亿美元中国占比约 10%达1043 亿美元, 其中服务器忣部件市场空间预计 2378 亿元假设鲲鹏架构市场份额 50%,则 2023 年鲲鹏 服务器硬件市场空间 1189 亿元

华为鲲鹏系列服务器的发展思路是,现阶段通过泰山服务器树立标杆使能整个产业链带动 相关厂家发展基于鲲鹏处理器主板的自有品牌服务器,形成多厂家格局;当条件成熟华为 或將逐步停止泰山服务器销售,产能由核心合作伙伴承接

服务器整机市场有品牌厂商和 ODM 厂商两类:(1)品牌商完全自主研发、设计、采购、组 装和销售,具备硬件架构设计能力及配套软件开发能力通过招标和渠道的方式分别向下游 客户销售;目前主要的品牌厂商包括 HPE/H3C、戴爾、浪潮、IBM、华为和联想等。 (2) ODM 厂商则为品牌厂商提供部分机型的代工我国自主生产的cpu型号主要负责后端制造,在产品研发环节配 合主要客户完成同时在销售环节面向大客户以阶段性招标和锁价的形式实现,往往是台系 厂商包括:纬创、纬颖、富士康、广达和英业達等。

目前全球服务器自主品牌参与者主要包括戴尔、HPE、浪潮、华为、新华三、联想、IBM、 曙光和宝德等。2019 年全球服务器市场前三依次是戴尔、HPE、浪潮(按销售额根据 IDC), 市场份额分别为 17.8%、14.8%和 7%;中国服务器市场前三名依次是浪潮、华为和新华三 市场份额分别为 28.7%、16.4%和 13.1%,排茬后面的依次是戴尔、联想、曙光和宝德合 计占比 32.1%,ODM 厂商占比 2.9%

截至 2020 年 3 月底,已有 11 家品牌商推出基于鲲鹏主板的服务器(根据华为 Cloud&AI BG 总 裁侯金龙)当前主要定位组装,未来可逐步提高设计能力在泰山服务器退出销售后承接其产 能:2019 年 9 月,山西百信发布基于华为鲲鹏的“恒山”服务器;2019 年 12 月河南黄河科 技集团成立“黄河”品牌,黄河鲲鹏服务器我国自主生产的cpu型号线正式投产并实现首批产品交付规划 2020 姩 形成 35 万台的我国自主生产的cpu型号能力;2019 年 12 月,湖南拓维信息成立湘江鲲鹏并获得华为鲲鹏主板自 主品牌服务器研发制造授权,公司预計在 2020 年 4 月完成产线建设5 月完成产品下线,若 5 月份如期投产今年的我国自主生产的cpu型号目标是 6 万台服务器和 PC(根据公告);2020 年 1 月,浙江東华软 件宣布首批基于鲲鹏处理器的服务器“鹏霄”已经完成软硬件兼容适配宁波鲲鹏生态产业园开 园,鹏霄服务器首发首用;2020年 3月鉮州数码首个鲲鹏系列产品我国自主生产的cpu型号基地在厦门正式动工, 一期整体规划面积三万平方米我国自主生产的cpu型号基地为一万平方米。一期产线投产后服务器年产能预计达 10 万台(根据公告);2020年 3月,宝德发布基于鲲鹏处理器的服务器新品 PR212K

受益于鲲鹏产业链的建竝和完善,硬件端中长期看好鲲鹏系列处理器上游供应商包括 IC 代工和封测。根据我们的假设和测算2020 年鲲鹏产业链服务器端代工和封测市场空间分 别有望达到 53 亿元和 18 亿元。

鲲鹏系列芯片代工主要由台积电承接台积电是少数具备成熟 7nm 制造工艺的厂商之一; 封测端,日月光矽品是全球第一大封测供应商已进入华为鲲鹏供应链,将在今年三季度开 始供货(根据与非网)国内厂商长电科技和通富微电技术储備成熟。目前通富微电主要为 AMD 供应商承担 80%左右的订单(根据 2019 年年报公告);长电科技与华为存在合作(根 据 2018 年年报公告)。从合作深度囷业绩弹性的角度鲲鹏产业链建议重点关注长电科技。

2. 独显 GPU:国产化任重而道远FPGA和 ASIC替代可期

2019 年上半年中国 GPU服务器市场规模为 8.3 亿美金(根据 IDC),同比增长 53.7%同 期中国市场 x86 服务器市场规模为 73.9 亿美元,短期来看即 GPU服务器占 x86 服务器市 场的 11.23%,该比例从 2017 年的 2.01%逐年提升IDC 预测,到 2023 年Φ国 GPU服务 器市场规模将达到 44.5 亿美金5 年 CAGR 为27.8%。而中国 x86 服务器市场约占全球的 三分之一左右假设按照此比例推算,2018 年全球 GPU服务器市场约为 43.5 亿媄元到 2023 年约达到 148.3 亿美元。

GPU(Graphics Processing Unit图形处理器)最初是用在 PC 和移动端上运行绘图运算工 作的微处理器,与 CPU集成以集成显卡(核显)的形态发揮功能NVIDIA 于 2007 年率先 推出独立 GPU(独显),使其作为“协处理器”在 PC 和服务器端负责加速计算承接 CPU 计算密集部分的工作负载,同时由 CPU继续运荇其余程序代码作为独显的 GPU 由 GPU 核心芯片、显存和接口电路构成,因而受益于新产品升级GPU 产业链除关注芯片代工和 封测外,还应重视显鉲 PCB 需求的同步提升

2.1. GPU 短期受 PCIe 升级有限,核心关注龙头新产品上市

独立 GPU与 CPU之间通过 PCIe 总线连接因而 PCIe 总线标准直接影响到通信带宽;而 针对 GPU之間的互连,Nvidia 推出 NVLink 技术替代传统 PCIe 总线的方式带动 GPU性 能 5 倍提升(根据 Nvidia 官网)。

目前由于 AI 性能挖掘仍有空间已经部署的服务器中 GPU算力尚有冗餘,预计 PCIe 由 3.0升级至4.0 和5.0 短期内对GPU影响不大但主流参与者仍在工艺路径持续推进产品升级。 Nvidia 占据独显市场近 80%的份额(根据 AIB Research)目前其主流产品还停留在 12nm 工艺,7nm正在规划中根据 Nvidia CEO 黄仁勋表示,下一代 7nm产品有望迅速推向市场 以配合制造商安排量产规划。

在 PC 端 x86 CPU市场分别占据 84%和 16%的份額具有天然优势。Nvidia 虽然拥有基于 ARM 架构的 CPU但目前处于弱势地位。

而在独立 GPU(独显)市场Nvida 是行业的奠基者和龙头,占据近 70%份额AIB 数据 显礻,2019 年 Q4Nvidia 和 AMD 在独立 GPU市场份额分别为 81%和 19%。从新产品进 展来看AMD 新系列采用 7nm更先进工艺,Intel 也表示将在 2020 年推出独立 GPU采用 10nm工艺,主要用于游戏端用于数据中心的高性能

Nvidia 有游戏、专业虚拟化、数据中心和汽车四大业务,2019 财年四大业务营收分别为 55 亿美元、30 亿美元、12 亿美元和 7 亿美元匼计应收 109 亿美元,收入占比依次为 51%、 27%、11%和 6%其中,数据中心(DC)业务自 2016 年以来持续高成长 年 收入增速分别为 155%、133%和 52%,2017 年超过专业虚拟化成為公司第二大收入来源 针对 HPC 和 AI 场景,Nvidia 推出的产品主要是 Tesla 系列包括 V100、P100、K40/K80、 M40/M60 等多个型号,K 系列更适合高精度计算M 系列更适合深度学习。

9Q3Nvidia 营收一直处于下降通道,连续四个季度营收增速为负(-24.25%、 -30.78%、17.42%、-5.25%)主要原因是游戏负增长,同时 DC 业务受服务器出货量下滑 的影响增速大幅放缓然而2019Q4拐点已现,营收增速回暖单季度同比增长高达40.82%。 2019年 3 月Nvidia 宣布以 49 亿美元收购 Mellanox,预计 2020 年 4月底该项交易最终 完成成为 Nvidia 历史上最夶的一笔收购。Mellanox是服务器和存储端到端连接解决方案的供应商产品涉及 HPC、云计算、数据中心、企业计算及存储市场,包括 Smart NIC网 络交换机芯片和高速数据互联等;中国市场的主要客户包括阿里巴巴和百度等。该收购完成 后Nvidia 在数据中心的业务布局更加完整,同时与 Mellanox具有较好嘚客户协同优势

我们看好 Nvidia 作为独显龙头对于 GPU产业链的整体拉动。从产业链来看独显以扩展设 备的形态存在,构件包括 GPU 核心、显存和接ロ电路因此上游供应链除芯片代工和封测 外,还有用于芯片集成的 PCBGPU 核心端,Nvidia 主流产品还停留在 12nm工艺7nm 正在规划中,代工和封测以台积電和三星为主显卡 PCB 方面,国内胜宏科技高密度多层 VGA(显卡)市场份额位列全球第一(根据公司公告)从长期市场空间增长和国产化替玳 进程两个视角,我们看好大陆 PCB 厂商的成长性建议重点关注胜宏科技。

长期来看AI 芯片在智能摄像头、无人驾驶等领域应用广泛。数据、算力和算法是 AI 三大 要素 “CPU+加速芯片”成为典型的 AI 部署方案,CPU提供算力加速芯片提升算力并助 推算法的产生。常见的 AI 加速芯片包括 GPU、FPGA(Field Programmable Gate Array) 和 ASIC(Application Specific

CPU适合逻辑控制和串行计算等通用计算计算能力没有 GPU强,主要由专为顺序串 行处理而优化的几个核心组成;

GPU用于大量重复计算甴数以千计的更小、更高效的核心组成大规模并行计算架构, 配备GPU的服务器可取代数百台通用CPU服务器来处理HPC和AI业务(根据Nvida)

FPGA 是一种半定淛芯片,灵活性强集成度高但运算量小,量产成本高适用于算法 更新频繁或市场规模小的专用领域;

ASIC 专用性强,市场需求量大的专用領域但开发周期较长且难度极高。谷歌自主设 计了一款基于 ASIC 的 TPU(Tensor Processing Unit)专门用于机器学习工作负载

训练和推断是云端 AI 两大运行过程,训练產生算法推断实现算法应用。训练阶段需要大 量数据运算GPU预计占 64%左右市场份额(根据赛迪顾问) ,FPGA 和 ASIC 分别为 22% 和 14%推理阶段无需大量数據运算,GPU将占据 42%左右市场FPGA 和 ASIC 分别为 34%和 24%。AI 芯片运营场景包括云端(服务器)、边缘端和终端三类不同应用场景对 AI 芯片的需求不同。就云端芯片而言2023 年市场需求将达200 亿美元(根据 Nvidia)。根据 赛迪顾问到 2021 年我国市场规模约为 221 亿元,较2019 年增长 35%其中 GPU、FPGA 和 ASIC 市场规模分别为 114 亿元、59 億元和 48 亿元,GPU为主FPGA 和 ASIC 平分秋 色。

2.4. 国产 GPU 厂商屈指可数FPGA和 ASIC 或将实现弯道超车(略)

2.4.1. 国产 GPU 屈指可数,重点关注景佳微、长沙韶光(航景科技)

2.4.2. 国产 FPGA实力强劲重点关注紫光国微、上海复微(拟科创上市)

3. 主板 PCB:CPU平台升级,PCB层数增加材料升级

3.1. “CPU+芯片组+总线”平台:CPU 厂商主导升级按照 2~4 年周期推进

南桥芯片(South Bridge,SB)/PCH:处理输入输出(Input/OutputI/O)数据,负 责 PCIe 总线、USB、ATA、SATA、音频控制器、键盘控制器、高级电源管理和实时时 钟控淛器等间的通信位于主板上离 CPU 较远的下方,原因是连接的 I/O 总线较多 远离 CPU 有利于布线。南桥芯片最初发展方向是集成主板上更多的通信功能如网卡 和RAID等,但AMD锐龙低端芯片组许多产品取消了南桥只支持CPU所支持的拓展, AMD 最新 Zen2 架构平台上南桥仅使用 PCI-E X4 通道和 CPU连接,将通道拆汾后 支持几个 PCIe 接口、USB2.0 和 SATA 接口未来 CPU有望集成更多功能,降低对南桥的依赖此外,由于技术相对稳定不同芯片组中的南桥芯片可以是相哃的。

北桥芯片(North BridgeNB):负责 CPU与内存、加速图形显卡(Accelerated Graphics Port,AGP)以及 PCIe 总线的通信协调位于主板上离 CPU最近的位臵,从而缩短传 输距离提高通信性能北桥芯片最初仅作为内存控制器出现在主板上,此后又扩展了各 种控制器如加速图形显卡。但由于延迟大和故障率高等问题逐漸被取消。Intel 于 2012 年推出单芯片架构只保留南桥芯片(改名为 PCH,Platform Controller Hub) 将北桥芯片集成到 CPU中,以 PCIe 控制器和内存控制器的形式分散存在于晶圆的鈈同 位臵

随着 CPU集成度不断强大,主板芯片组的功能有被弱化的趋势CPU 厂商对芯片组的话语 权也在持续提高。服务器芯片组我国自主生产嘚cpu型号商曾经我国自主生产的cpu型号包括 Intel、AMD、Nvidia 和 VIA(台湾威盛) 等目前 Intel 和 AMD 占据主要市场份额,尤以 Intel 为甚部分国产 CPU参与者由于设计 能力欠缺采用 Intel 或 AMD 的芯片组。

PCIe 总线负责连接扩展 I/O 设备,具有高速低时延的性能满足服务器高速运算、快捷访 问数据、访问外设和访问网络的需求。由于是对前两代(ISA 和 PCI/PCI-X)的升级PCIe 总线又被称为第三代 I/O 总线(3GIO),相比于前两代数据传输速率更高、传输距离更远、 功耗更低、抗干扰能力更强,可以连接各类高速扩展设备典型如加速图形显示处理器

传输速率和带宽大小是 PCIe 总线的核心性能,围绕这两大性能PCIe 总线有特萣的升级标 准,该标准自 2001 年确定 1.0 至今经历了 2.0、3.0 和 4.0 时代,在 4.0 确立之前大概 按照每三年一代的周期实现性能指标升级。PCIe 标准由特殊兴趣组織 PCI-SIG 组织负责发 布该组织最初由 Intel 牵头设立,目前拥有近 800

商用还有至少三年以上

PCIe 高速设备包括 GPU、AI加速卡、视频监控卡、PCIe 接口 SSD 和 PCIe 网卡等,伴隨 PCIe 升级至 5.0通过 PCIe 总线连接 CPU的以上高速设备有望同步升级。

每 个 Device 支持 8 个 Function 。 PCIe 总线标准升级带宽翻倍的同时外接的 PCIe 设备带宽 可以实现更大提升。根据 PCI-SIG 官方显示使用 PCIe 总线与 CPU 通信的服务器 I/O 扩 展设备,带宽每三年翻一倍

“CPU+芯片组+总线”平台升级按照 2~4 年周期向前推进,由于 CPU内部主偠集成内存控 制器和 PCIe 控制器内存和 PCIe 总线是平台升级的两大关键,需要同时配合 CPU的升级 进度实现性能提升2017年 7月 Intel 发布的 Purley 平台(包括 Skylake 和 Cascade Lake

由于 PCIe 標准决定服务器传输速率,对服务器主板 PCB 层数和基材要求较高服务器主板 PCB 为高速多层板(大多为 8 层以上板),是由多层导电图形和低介電损耗(Df)的高速 CCL 材料压制而成的CCL 是一种由玻纤布浸以树脂,覆以铜箔热压而成的专用于 PCB 制造的 特殊层压板主要承担导电、绝缘和支撐三大功能。就高速 PCB 而言一方面,PCB 层数 越多设计的灵活性越大,可以起到电路阻抗的作用从而实现芯片组间高速电路信号的高 速传輸。另一方面原材料 CCL 的 Df 越低,信号传输的损耗越小伴随服务器平台的升级, 数据传输速率的进一步提升PCB 层数需要不断增加,CCL 材料 Df 值吔需同步降低

为主,处于中损耗等级;而对于 36Gbps 传输速率的 PCIe5.0 接口PCB 层数需要达到 16 层以上,CCL 材料 Df 值需要低于 0.008

考虑到服务器主板 PCB升级,以及垺务器出货量回暖经我们测算, 年服务器 主板高速 PCB 市场规模分别为 106亿元、142亿元和 186亿元高速 CCL 市场规模分别 为 67 亿元、92 亿元和124亿元。具体测算假设为:

(1)根据 IDC2018 年全球服务器出货量为 1135 万台,同比增长 15.68%2019 年前三 季度出货量为 838 万台,同比下降 5%从全球互联网厂商资本开支以及 Intel DCG 业務收 入来看,服务器市场自 2019 年 Q4 开始回暖向上Intel DCG Q3和 Q4 收入分别同比增长 4%和 19%(此前连续多个季度下滑),因此保守假设

(3)服务器主板 PCB 使用规格受服务器类型的影响从全球服务器发货量来看,双路服务 器占据主导位臵因此我们采用保守估计的方法,按照双路服务器 45cm*45cm 的规格计算 主板 PCB 使用需求;此外服务器内除主板外、I/O 板、硬盘和背板等也是高速 PCB 的关 键应用场景。

根据Prismark2018年服务器/存储设备PCB市场规模为50亿美元,占PCB總规模的8% 预计 年 CAGR 为 4%,仅次于汽车和无线基础设施从产品类型来看,服务器/ 存储设备 PCB 以 6 层以上高多层为主其中 6 层板、8~16 层板和 18 层以上板汾别占比 23.70%、23.25%和 9.9%,其次是芯片封装基板占比 13.1%,FPC 和 HDI分别占比 9.7% 和 6.3%即服务器/存储设备中 6 层以上刚性 PCB 合计市场规模约为 28.5 亿美元。结合我 们的测算预计未来每年有 50%左右的高多层 PCB 存在升级需求。

3.2.1. 高速 PCB 我国自主生产的cpu型号难度大价格和毛利率高于普通PCB

受信号传输性能和使用的基材差異的影响,PCB 大致分为普通板、高速板和高频板三种高 速板的典型特征是层数要求高,一般在 8 层以上需要使用 Df 更低的 CCL 材料。高速板的 我國自主生产的cpu型号难度相比普通板更大一方面,特定厚度的高速基材 CCL提高了 PCB 我国自主生产的cpu型号商对材料 的应用要求;另一方面,PCB 层數越多对对位精度、阻抗控制等提出更高的要求,相应生 产设备的配臵要求也更高工艺流程更加复杂,我国自主生产的cpu型号时间也更長

PCB 行业内一般采用成本加成的定价模式,即根据直接材料和我国自主生产的cpu型号成本结合供需、层数及 工艺难度、订单规模以及客户茭货期要求,与客户协商定价而高速板需要使用 Df 更小的 CCL 材料,CCL 材料成本相较普通板更高;同时工艺难度与加工时间的提高也使高速板 廠商的成本更高,根据成本加成定价原则高速板公司的产品售价更高,毛利率水平也相对 较高

全球 PCB 厂商众多(根据 NTI估计,超过 2000 家)泹掌握多层高速 PCB 工艺技术的厂 商有限,因此供应商的议价水平较高根据 Prismark 统计,2016 年中国大陆在 4 层板、6 层板及 8至 16 层板市场的产值占比分别为 19.1%、13.5%和 10.4%我国大部分 PCB 厂商 仍以 8 层以下 PCB 为主,因而国内高多层高速 PCB 供需态势紧张其价格和毛利率均高于 普通PCB产品。国内多层高速PCB的参与者主偠包括沪电股份、深南电路、东山精密(Multek) 和生益电子等

3.2.2. 高速 CCL 材料和配方难度大,等级越高附加值越明显

CCL 是 PCB 的上游关键原材料其 Dk(介電常数)和 Df(介电损耗因子)值直接决定 PCB 性能。高速 CCL 具有更低的 Df 值信号传输损耗更小。

CCL 由增强材料(玻纤布等)浸泡树脂加工并以一媔或双面覆盖铜箔经热压而制成。一般 而言降低 Df 主要通过树脂、基板及基板树脂含量来实现。普通 CCL 主要使用 FR-4 等级 的环氧树脂(Epoxy)高速 CCL 則需要在主体环氧树脂的基础上改性或加入 PP0/PPE 等 树脂材料。各种树脂按照 Df 由大到小依次包括环氧树脂、特殊树脂/改性特殊树脂、PTFE/ 碳氢化物樹脂/PPE 树脂。普通环氧树脂 Df 值在 0.01 以上而 PTFE 和碳氢化合物树脂(两 种典型的高频材料)Df 值在 0.002 以下,高速材料所用树脂的 Df 介于高频材料和 FR-4 之 间

CCL 荇业起源于上世纪 40 年代,在 80 年发展历程中各大厂商累计了技术优势、资金优 势和客户资源等优势,逐渐建立起行业准入门槛行业集中喥不断提升,根据 Prismark建 滔化工、生益科技、南亚塑料、松下电工、台光电子和联茂电子为全球前六大厂商,2017 年合计市场份额超过 50%

高速 CCL 加笁难度较大。一般 CCL 我国自主生产的cpu型号流程包括调胶(玻纤布与树脂)、上胶含浸、半固 化片切片、上料铜箔热压和裁切等其中树脂改性、层压和界面处理工艺是核心工艺环节。 相较于环氧树脂PTFE 和碳氢化合物树脂的加工难度会更高,对工艺、配方的要求也更高

配方门檻:覆铜板树脂填充物包含多个品类可以因不同的场景改善性能。例如 PTFE 成 型温度过高、加工困难以及粘接能力差, 因此需采用共混改性、填料改性等方法淡化 PTFE 材料的缺点如罗杰斯 RO3000 系列覆铜板中添加了陶瓷填料。每个厂商的配方 都是在多年的我国自主生产的cpu型号实践中形成的难以在短时间内完成。

工艺门槛:不同树脂体系的加工难度不同例如 PTFE 比环氧树脂更软、钻孔难度更大, 需要培育专门的核心员工

目湔,高速 CCL 市场主要参与者包括松下(Panasonic)、依索拉(Isola)、联茂(ITEQ)、 台耀(TUC)、台光(EMC)、南亚塑胶(NY)、生益科技(SY)和华正新材等根據 Prismark 的数据,2018 年前四家占据了近 65%左右的份额松下的市场份额最高, 年稳 定在25%~30%之间高速CCL 口碑好。其次是依索拉、联茂和台耀平均市占率茬10%~15% 之间。当前国内厂商也已经实现技术突破,不断抢占更高市场份额根据公司公告,生益 科技高速产品已于 2018 年通过服务器客户认证并實现销售华正新材也已经实现技术突破, 2019 年发布定增预案计划投资6 亿元用于建设年产 650 万平米的高频高速 CCL 青山湖制造基地二期项目。

4. 机箱:液冷散热加速渗透电源功率增加产品升级

机箱主要由箱体、硬盘背板、电源、电源背板、散热风扇、硬盘支架、线类和接头、以及開 关和指示灯等组成。由于 CPU 平台换代升级导致功耗增加服务器机箱散热和电源模块方 案同步发生变化。一方面改善供电方案提高电力使用效率,在降低成本的同时还能简化运 维;另一方面采用更好的温控和散热系统,目前液冷已经成为数据中心制冷新风尚

4.1. 散热:液冷散热趋势渐起,2020 年有望贡献 10 亿元增量空间

数据中心温控系统主要包括空调机组、气流组织和服务器散热:( 1)空调机组从风冷型、水 冷型向冷冻水型、双冷源型过渡气流组织也从传统的机房级向更精准的机柜级演进;( 2) 服务器功率大幅提升,液冷(冷板、浸没、喷淋彡种部署方式)逐渐取代传统风冷成为新模 式

液冷模式通过液体直接导向热源带走热量,不像风冷间接通过空气循环降温效率更高、哽 节能且噪音更小。液冷主要有冷板、浸没、喷淋三种部署方式冷板式部署介质无需直接接 触发热器件,对原有 IT 基础设施的要求和改造朂小;浸没式液冷让发热器件直接与液体接触 散热效率最高,同时技术难度也最大;喷淋式还处于发展初期、技术突破阶段目前液冷嘚 市场化程度正逐步加强,逐渐由超算中心向各行业数据中心渗透目前阿里和腾讯等均加大 对液冷散热的应用,预计 2020 年有超过 10 万台的市場需求渗透率在 5%左右,按照单机 价值量 5000元计算2020年市场规模近 10亿元。而传统风冷方案每年市场空间约 80亿 元因而液冷散热新方案带来的市场增量可期,利好具有相关技术方案的厂商

4.2. 电源:系统电源重视 HVDC 方案渗透,模块电源功率提升重点关注国产化

数据中心电源需求包括兩块一部分是机房系统供电,另一部分是单台服务器模块电源供电 数据中心规模化建设或将带动系统供电需求,服务器需求增加、CPU功耗提升或将带动模块 电源量价齐升从下游应用领域看,由于系统电源和模块电源除数据中心和服务器场景外 还广泛应用于通信、航空航天、工业和交通等,因而整体市场规模受单一需求变化影响有限从规模弹性、竞争格局和国产化替代的角度,我们更看好服务器对系統电源新方案、以及模 块电源的带动作用

4.2.1. 系统电源:UPS 仍为主流,重点关注HVDC 的替代性

UPS 工作原理是市电输入 UPS 系统经过整流器将交流电(AC)轉换为直流电(DC), 一部分直流电源为蓄电池充电其余部分通过逆变器转换为交流电(AC)为服务器机柜 供电。当市电不正常时由蓄电池经逆变器向服务器机柜供电。这种系统大大提高供电 的稳定性成熟度高且广泛使用。

HVDC 高压直流是一种输入市电交流电输出直流电的電源(UPS 输入和输出均为交流 电的电源)。相较于 UPSHVDC 在备份、工作原理、扩容以及蓄电池挂靠等方面存在 显著的技术优势,因而具有运行效率高、占地面积少、投资成本和运营成本低的特点 正逐步获得通信基站运营商和数据中心云服务厂商的青睐。

数据中心电源与通信电源需求一致性较高因而市场发展历程和参与者有相通性。全球通信 电源市场大致经历过三个发展阶段目前已经形成集中化的行业格局:

苐一阶段为 年,欧美厂商掌握核心技术并占据主要市场份额通信设备商 (如爱立信、北电网络和朗讯等)大都拥有自己的高级电源系统業务。

第二阶段为 年中国大陆和台湾厂商逐步掌握相关技术并参与到市场竞争 中, 2007 年国内通信电源厂商达到 300 多家规模大、市场份额高嘚也有 10 余家(根 据中恒电气招股书),欧美厂商相继退出2000 年左右艾默生网络能源进行大规模行业 并购,其中包括爱立信和北电网络等设備商的高级电源系统业务

第三阶段为 2010 年至今,行业从分散走向集中中高端 UPS 市场基本被伊顿、艾默生、 ABB 垄断,三家合计市占率超 75%科华恒盛专注于大功率 UPS 市场,2017 年销售 额位居国内第一(赛迪顾问)此外中恒电气、动力源、科士达、易事特等也均有参与。

华为拥有自己的網络能源产品线产品涵盖数据中心能源、通信能源、智能光伏和智能 电动四个领域。借助通信设备的全球化布局华为 UPS 电源全球市占率領先。

UPS 下游应用领域众多包括通信和数据中心、工业、铁路、航空航天等,整体市场规模保 持稳定2017 年全球市场规模约 70 亿美元,国内市場规模约 60 亿元UPS 朝着效率更高、 维护安装更方便的方向发展,目前已从工频 UPS 发展到高频 UPS再到高频模块化 UPS。

HVDC 由于技术成熟相对 UPS 更晚当前仍处于成长期。数据中心和通信基站是 HVDC 的 两大关键应用场景合计份额达 85%以上,随着数据中心建设数量的增加其规模不断超 过通信基站。受到供给两端的压制HVDC 成长长期缓慢:(1)需求端,在市场导入期HVDC 集中在 400~900A 之间,400A 以下和 900A 以上的产品较少难以满足数据中心多元化场 景需求;同时 HVDC 产品缺乏统一国家标准,抑制了侧重安全性的政企客户的需求(2)供 给端,电源厂商缺乏将 HVDC 产业化的动力一方面,UPS 技术洎 1990 年就发展成熟有 极大的先发优势,且抓住了 3G4G 网络流量红利占据通信和数据中心电源市场主要份额。 相较之下HVDC 需求尚未打开,且研發投入大我国自主生产的cpu型号成本高。

随着 HVDC 技术的成熟和多元化2013 年以来,市场需求逐步提高中国电信 2013 年完 成了 34 套小容量(240V/400A 及以下)囷 111 套大容量(240V/400A 以上)HVDC 集采, 到2014年集采数量增加至202套中国联通于2013年在广东省重要的IDC机房使用HVDC, 于 2018年 6 月开启 HVDC(336V)规模集采中国移动于 2017 年开始 HVDC 试点工作, 并在全国范围大规模推广 2017 年 6 月启动 226 套 HVDC(336V)集采用于私有云资源 池哈尔滨数据中心,2018 年10 月开启 1.6 亿元的 HVDC(336V)规模集采百度的陽泉数 据中心、腾讯的 MDC(模块化数据中心)以及阿里巴巴的张北数据中心均已采用 HVDC

4.2.2. 模块电源:CPU 升级带动电源功率显著提升,重点关注国产替代

不同于数据中心集中供电系统服务器内部主要使用模块化的开关电源,其将输入交流电 (AC)整流为直流电(DC)并变压为主板供电模块开关电源可以直接焊装在印刷电路板 上,具有体积小、功率密度高、转换效率高和噪声低等优势除服务器外,通信设备(交换 机和蕗由器等、航空航天和军工等都是模块电源的主要应用场景根据尚普咨询,2017 年 通信、数据中心和军工模块电源的市场份额合计高达 88%

服務器 CPU代际升级,功耗持续增加带动主板模块电源功率等级提高,目前平均 750w 未来或将提高到 1500w。服务器模块电源使用 N+1 冗余方案若为 1+1,则囿两个模块 每个模块承担 50%的输出功率,一个模块拔出另一个模块承担 100%输出功率若为 2+1, 则有三个模块每个模块承担 1/3 的输出功率,一个模块拔出剩余两个各自承担 50%输出功 率从市场弹性的角度,受益于服务器需求提升和 N+1 的配臵方案电源需求有望同步倍增。

由于服务器需偠全年不间断运行因此其转换效率非常重要,即使效率 1%的提升每年也 能省下大量费用。电源按照转化效率有许多分级80Plus 是由 CSCI(Climate Savers Computing Initiative,电脑產业拯救气候行动计划)倡导的节能标准CSCI计划由 Intel 与 Google 于 2007 年 6 月发起。80Plus 主要包括白牌、铜奖、银奖、金奖和白金奖五个标准 电源转换效率的偠求依次是 80%、85%、88%、90%和 92%。电源转换效率与负载率倒 U 型有关达到临界点以前,电源效率随着负载率的提高而提高达到临界点以后则相反。

铨球主流电源厂商包括台达电子、艾默生和光宝科技等国内中国长城规模领先。台达电子 涉及全系列电源产品2019 年全球市占率第一(约 25%),服务器市场占有率超 50%艾 默生位列全球第二(根据新浪网)。我国模块电源发展始于 1987 年北京迪赛通用技术研究 所率先启动研发,此後孕育了雷能、北京迪赛(2006 年被 A 股动力源收购)和瑞谷等一大 批厂商截至目前,我国模块电源商有上百家格局分散,CR10 小于 60%其中,外資参 与者包括 ARTYESYN(艾默生全资子公司)、FLEX、Vicor、SYNQOR 和 GE 等本土参与 者包括中国长城、新雷能、迪赛、中华电星和 24 所等。

5. 标的推荐(略详见报告原文)

重点推荐PCB板块,建议关注国内封测供应商

(报告观点属于原作者,仅供参考报告来源:安信证券)

如需报告原文档请登录【未來智库】。

我要回帖

更多关于 我国自主生产的cpu型号 的文章

 

随机推荐